Pemograman VHDL pada sevent segment
Seven Segmen Display merupakan
sebuah rangkaian komponen logika digital yang dapat menampilkan sebuah karakter
berupa angka-angka maupun huruf sehingga dapat dimengerti oleh manusia. Seven segmen
display terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) membentuk
sebuah karakter yang diinginkan dengan menyalanya LED sebagai penanda. Susunan
dari LED yang berada pada seven segmen display :
LED tersebut terdiri dari 7 buah
yang dihubungkan satu dengan lainnya. Cara menghubungkan pin pada seven
segments ada 2 (dua) mode, yaitu Common Anode dan Common Katode. Common Anode
adalah LED pada 7 segment semua pin anode-nya dihubungkan menjadi satu, sedangkan
pin katoda dihubungkan ke port-port pada mikrokontroller. Common anode
digunakan untuk rangkaian yang memerlukan aktif rendah (active low). Common
katode adalah semua pin katoda pada 7 segments disatukan, sedangkan pin anoda
dihubungkan ke port-port pada mikokontroller. Common katoda digunakan pada
rangkaian yang memerlukan aktif tinggi (active high).
Contoh
program vhdl dari bcd to seven segmen display :
contoh program VHDL(Xilinx Spartan
3E) sederhana untuk menampilkan huruf "F" pada seven segment, berikut
codingnya :
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use
IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ffff is
Port ( LED : out std_logic_vector(6 downto 0)); end ffff;
architecture Behavioral of ffff is begin LED <= "0001110"; -- writes the 'F' pattern to the led. end
Behavioral;
Dan hasil output pada program di atas adalah seperti gambar yang ada di bawah ini :
Dan hasil output pada program di atas adalah seperti gambar yang ada di bawah ini :
|
Sumber:
http://viana-chuby.blogspot.co.id/2010/12/pemograman-vhdl-pada-sevent-segment.html
Komentar
Posting Komentar